Search results

Jump to navigation Jump to search
  • :* 1) Get loadCalc values in your log. The first time I did this I copied the load1byte column to to a column with "LoadCalc" in
    6 KB (976 words) - 02:45, 4 May 2010
  • ...compares it to the selected ROM image in ECUFlash. This process runs every time you write to the ECU, and only the modified ROM data is written to the ECU. ...nd "ROM info" and "Parameters" in the lower box. This would also be a good time to save this ROM somewhere safe before you go poking around and changing st
    42 KB (7,575 words) - 02:32, 4 May 2010
  • :; 25-50 : Time between end of tester request and start of ECU response or between ECU resp ...000 : Time between end of ECU response and start of new tester request, or time between end of tester request and start of new request if ECU doesn't respo
    9 KB (1,239 words) - 19:07, 6 November 2010
  • ...ations that had to be ported to a ROM by each individual user. Around the time when map switching was coming around it was determined that it would be eas ...l not copy any incorrect information. It also allows you to "step back in time" in case you ever need to look at an older ROM that you have.
    25 KB (4,281 words) - 20:21, 14 January 2010